您现在的位置: 精品资料网 >> 管理信息化 >> OA自动化 >> 资料信息

电子设计自动化EDA实验(ppt 21页)

所属分类:
OA自动化
文件大小:
1148 KB
下载地址:
相关资料:
电子设计,自动化,实验
电子设计自动化EDA实验(ppt 21页)内容简介

电子设计自动化EDA实验目录:
1、熟悉EDA软件使用环节,了解EDA实验过程
2、掌握EDA软件设计平台的VHDL、ABEL、图形符号和状态机输入设计方法
3、掌握EDA软件设计平台的逻辑仿真工具
4、掌握EDA软件设计平台的综合设计、编译方法
5、掌握可编程芯片下载的方法

 


电子设计自动化EDA实验内容简介:
    电子设计自动化(EDA)实验是我校国家面向21世纪电工电子课程体系改革和电工电子教学基地建设教学改革的研究成果。EDA实验反映了我国当前在数字实验教学体系、内容和方法上的改革思路和教学水平EDA实验教学的目标定位在系统地、科学地培养学生的实际动手能力、理论联系实际的能力、工程设计能力与创新意识。 EDA实验能使学生尽快掌握现代电子设计自动化技术的新方法、新工具和新手段。


..............................